更全的杂志信息网

飞控计算机硬件及全时序综合测试装置设计

更新时间:2009-03-28

0 引言

飞控计算机是飞行器的核心组件,负责飞行控制算法、工作时序的调度,控制飞行器完成正常飞行任务,对飞行器性能有很大影响,所以对飞控计算机的测试就显得尤其重要。目前各类飞行器的飞控计算机硬件测试与软件测试是分开进行的,飞控计算机生产单位在完成硬件测试后,无法对飞控软件性能进行测试,需要到总装厂与传感器、执行机构、安保机构、数据链等其他飞行器组件完成装配,组成完整的飞行器后,才能对飞控软件性能进行系统的全时序测试[1]。如果发现飞控计算机存在故障,就必须从飞行器上拆下来返厂维修检测,这样不仅研制周期较长,而且存在测试过程繁琐、故障无法准确定位等缺点。

本文设计的飞控计算机硬件及全时序综合测试装置,为飞控计算机的全时序测试提供了调试与验证的环境[2],尽可能的模拟了飞控计算机真实的工作环境,能完成电气性能、总线通讯、飞行器时序控制及安保装置电气性能测试。因此在本装置的配合下,飞控计算机可以在没有传感器、执行机构、安保机构、数据链等设备参与的前提下,在飞控计算机生产单位内就能完成系统在正常工作状态下的性能测试,使得硬件测试与全时序测试可以同步进行,缩短研制周期,并更加全面地对飞行器飞控计算机的软硬件性能进行评估。

本装置解决了飞控计算机在出厂前只能硬件测试而无法进行全时序测试的问题,方便了飞控软件的版本管理,具有一定的工程意义。

1 系统主要功能和总体结构设计

1.1 飞控计算机硬件及全时序综合测试装置的概念

飞控计算机硬件及全时序综合测试装置既不是飞行器的飞控计算机,也不是飞行器总体测试设备,它通过模拟除飞控计算机外包括传感器、执行机构、数据链、安保机构等在内的飞行器飞控系统组件的时序逻辑和通讯协议,尽可能的模拟了飞控计算机的真实工作环境,配合飞行器总体测试设备,很方便的完成飞控计算机的全时序测试。典型飞行器控制舱测试系统框图如图1所示,采用本装置后的飞控计算机测试系统框图如图2所示。

  

图1 典型飞行器控制舱测试系统框图

  

图2 改进后飞控计算机测试系统框图

1.2 飞控计算机硬件及全时序综合测试装置功能要求

飞控计算机硬件及全时序综合测试装置要按照飞行器正常的工作时序,完成供电、通讯、控制、制导及安保机构等工作状态的控制,模拟传感器、执行机构、数据链与飞控计算机的信息交换及时序控制,模拟传感器、执行机构、数据链等组件的遥测信号输出[3]。主要完成以下功能:

1)发送和接收ARINC429信息,模拟传感器和数据链的工作时序,完成与飞控计算机的数据通讯,实现制导信息的传输;

2)模拟输出LVDS信息, 模拟传感器、执行机构、数据链的遥测信号输出,完成与飞行器测试设备的数据通讯;

3)发送和接收RS-422信息,完成与执行机构的数据通讯,实现控制信息的传输;

ARINC429协议标准采用异步双极性归零码进行数据的编码,并通过双绞线传输。本次设计中采用DEI1016和BD429芯片,DEI1016芯片实现标准429格式的串行数据与并行数据间的转化,BD429产生飞控计算机所需的差分电平信号。

5) 模拟形成飞行器的各种工作状态信息;

教师要扮演好自己的角色,努力成为合格的学习督促者,教学改革的创新者。随着社会的不断进步和发展,教育的改革力度不断加大,对于教师的要求也越来越高。但是教学的环境过于封闭,教师没有更多的机会和外界进行过多的接触,所以教师的人生视野往往很容易被局限,慢慢的和学生之间的心理差距也越来越大。这种差距的出现,往往会使学生与教师在学习过程中很难产生共鸣,不利于教学质量的提升。教师要敢于从实际生活中累积教学素材,多借鉴优秀教师的教学经验,在空闲时间教师也可以参加继续教育等,只有不断学习才能够提升自身的个人素质能力,拓宽个人的知识内涵,为提升课堂教学有效性做好基础的保障。

1.3 飞控计算机硬件及全时序综合测试装置总体结构设计

为满足飞控计算机硬件及全时序综合测试装置对数据通讯、工作时序逻辑以及在各种工作状态下对飞行器状态的模拟,需构建四大模块,即信号处理模块、信号接口模块、电源模块以及负载模拟模块。系统总体结构如图3所示。

  

图3 飞控计算机硬件及全时序综合测试装置总体结构

信号处理模块是本装置的核心模块,用来处理ARINC429信息,模拟传感器、执行机构和数据链与飞控计算机通信功能,产生RS-422信息模拟执行机构输出信息,并形成LVDS信息输出到飞行器测试设备。电源模块用于向信号处理模块、信号接口模块和负载模拟模块提供工作所需电压。信号接口模块主要功能是完成信号调理、隔离,实现外部信号与FPGA可处理的电气信号之间的转换。负载模拟模块利用功率负载模拟安保机构等组件各种工作状态所需的工作电流。各模块信号传递关系如图4所示。

构建3D关联技术的课程体系 3D打印技术人才的培养需要建立相应的课程体系。3D打印技术以3D数字模型为基础,在工艺段前期关联到计算机3D建模、3D扫描技术等,打印过程中关联到工程材料、工艺优化、路径规划、质量监控等,打印后关联到公差与配合、3D测量技术、误差分析等。从知识体系上分析,3D打印技术涉及的课程类别较多,课程内容较广,且涉及的内容上不是单一构成的。

  

图4 各模块信号传递关系

2 系统硬件设计

2.1 信号处理模块硬件设计

L422_tx: out std_logic; -- 422发送线

  

图5 信号模拟模块组成框图

本文的设计采用DSP+FPGA的结构作为信号处理模块的核心单元,DSP采用TI公司的TMS320F28335[5],FPGA采用XILINX公司的XC3S500E。DSP信号处理器作为数据和飞控计算机硬件及全时序综合测试装置的控制处理中心,使各个部件在它的控制指挥下协调有序的工作。FPGA作为DSP的一个外设使用,需要完成接收DSP的指令并将与DSP进行数据传输、配置传输协议、配置数字输入输出端口等任务。工作时DSP通过数据、地址总线与FPGA通信,FGPA按照时序逻辑要求控制各接口完成数据的收发。这样的设计模式能够充分发挥FPGA的并行工作能力,节省DSP内部资源,同时减少了DSP因控制外围期间所消耗的时间,大大提高了系统的性能[6]

4)通过接入负载来模拟安保机构工作状态;

LVDS即低电压差分信号,由美国国家半导体公司提出的一种信号传输模式。本次设计采用LVDS差分驱动芯片DS90LV047A将要发送的信号从TTL逻辑电平转换到LVDS差分电平,输出至遥测接口。

RS-422是一种单机发送、多机接收的单向、平衡传输规范,这种接口具有设计简单,灵活性大,传输距离远和抗干扰能力强等特点[7]。本次设计采用Max3490作为电平接口芯片,实现FPGA输出的TTL电平到RS-422标准电平的转换。

2.2 信号接口模块硬件设计

FPGA不能直接处理来自飞行器测试设备的外部信号,必须先接入信号接口模块,完成光电隔离和电气信号的转换。这样使得信号处理模块完全与外电路隔离,提高了数字式电路板的抗干扰能力。

在信号接口模块中,根据输入输出特性的要求,选用两种型号芯片完成电气信号隔离。HCPL263L芯片对外部输入信号进行隔离,6N137芯片对来自FPGA的信号进行隔离。信号接口模块信号隔离原理如图6所示。

  

图6 信号隔离模块原理图

2.3 电源模块和负载模拟模块硬件设计

电源模块通过不同的电源转换芯片,将外部电源电压转换为信号处理模块、信号接口模块和负载模拟模块所需的各种电压,使各模块正常工作。负载模拟模块利用功率负载模拟安保机构等组件各种工作状态所需的工作电流,通过继电器控制输出高电平或低电平,通过负载电阻产生飞行器工作所需要的电流信号,具体结构如图7所示。

需要认识到的是,受限于方法论,本研究所建构的“失地农民再就业培训参与决策机制”这一“实质理论”,虽然有助于揭示再就业培训参与决策中各个变量(概念范畴)之间的关系,但给出的解释,更多是假设,而不是验证。要验证这些关系,确定关系的性质及作用机制,还需开展大量的实证研究。而本研究所建构的“失地农民再就业培训决策机制”这一实质理论,包含着14个关系假设。这些关系假设,在促进我们对失地农民再就业培训决策行为理解的同时,也为更进一步的研究指出了方向。下一步可围绕“这些关系是否存在”“如果存在,这些关系的性质是什么,是不是因果关系”“各种关系之间,存在着什么样的路径”等问题开展一系列的实证研究。

  

图7 电源模块与负载模拟模块功能说明

2.4 设计特点与难点

该测试装置一个重要特点是进行了完备的自检设计。本装置通过单刀双掷开关实现自检和工作两种状态的切换,当装置处于自检状态时,会将RS-422、ARINC429以及LVDS等3种类型通讯链路的发送和接收通道形成闭合回路,软件进入自检分支,对所有通讯链路、数字量和继电器进行监控,实现对测试装置的全面自检测试。该测试装置另一个特点是能通过预留的串口实现系统软件的串行加载, 避免在频繁的拆装过程中引起接插件的松动或其它组件不必要的损坏,简化了测试与维修流程。

在测试装置研制过程中遇到的主要技术难点是与飞行器各组件通讯的实时性问题以及由此引起的ARINC429读写冲突的问题。经过多次调试与不断验证, 通过将FPGA时钟信号进行不同倍数的降频处理,形成与飞行器各组件信息传输速率相适应的同步时钟信号,解决了与各组件实时通讯的问题,并在ARINC429同步信号上升沿和下降沿分别进行读写操作,解决了其读写冲突的问题又不会对实时性产生影响。测试结果表明采用上述方法后,测试装置的实时性满足飞行器各组件实时通讯的要求。

3 系统软件设计

系统软件主要包括片上系统软件和上位机软件,片上软件包括DSP软件及FPGA软件,上位机软件用来对整个飞行器测试回路进行数据通讯的控制、命令的发送、数据参数的分析以及图像的绘制。

②宝钗听了,愁眉叹道:“……等我和妈再商议,有人欺负你,你只管耐些烦儿,千万别自己熬出病来。”(第五十七回)

FPGA的软件设计应实现FPGA与DSP通信、ARINC429信息传输、LVDS信息传输、RS-422信息传输以及对数字输入输出口的控制。本文使用ISE Design Suit 14.7作为开发工具,很方便的实现设计输入、代码编写、库管理、HDL综合、仿真、实现和下载,完成FPGA开发的全过程。编程语言使用VHDL硬件描述语言,主要用于描述系统的结构,行为,功能和接口[8]。VHDL程序实体结构如下所示。

entity test_device is

一身空姐职业装的程凤萍今天接受人民交通网专访的时候,给记者的印象是“干练、热情、泼辣、大方”,难怪南航湖南分公司的同事们都亲切地称她“凤姐”。

port(

吸收当今先进的嵌入式程序设计思想,在硬件配置、软件设计上与物理系统保持一致性,并实现系统的应用平台功能。将飞行器飞控计算机测试系统的各分系统按模块化细分,提高模型组合的灵活性,软件设计与此相适应,用户可以更加积极、主动 地介入仿真过程,控制和管理运行策略,充分发挥计算机的高速度、大容量优势和用户的分析、判断能力。

dsp_data:inout std_logic_vector(15 downto 0):= "ZZZZZZZZZZZZZZZZ";

dsp_addr:in std_logic_vector(12 downto 0); --DSP地址线

dsp_we: in std_logic_vector(0 downto 0); --DSP写信号线

吕凌子终于在星期三下午接到了生产厂家打来的电话,那会她正收拾好办公桌上的资料,准备下班。给她打电话的是个男中音,充满磁性。男中音自称负责售后的一名工作人员,说两家防盗门可以互开纯属偶然现象,这样的事情居然出现在同一个城市同一个小区同一幢楼的上下层,其概率比买福彩中头奖的概率还低,不能不说是个奇迹。

dsp_re: in std_logic; --DSP读信号线

ATB混合料使用15T自卸汽车进行运输,车厢应洁净且底板与侧板涂一层薄油水,避免混合料粘厢。装料过程中,装料车应按前—后—中的顺序呈品字形装料,以减少混合料离析,装料完成后应使用篷布覆盖混合料,以防雨、保温、防污染。运料车辆轮胎应保持洁净,避免进场后污染透层油,影响层间黏结效果。

综上可见,除个别BITs“保护与安全”条款相对较理想、较适合目前和未来中国防范在发展中国家投资的安全风险外,与多发展中国家的BITs“保护与安全”条款空泛和模糊,总体上未能超越国际习惯法最低待遇标准,其国际法效果是,中国难以主张东道国对安全风险损害承担国家责任,不能为中国投资者及其投资和人员提供充分有效的保护与安全。

dsp_clk: in std_logic; --DSP输出的时钟

lvds_tx: out std_logic; --LVDS发送线

鄱阳湖生态经济区位于鄱阳湖周边滨湖地区以及赣江、信江、抚河、饶河和修水五河的中下游,[1]区域内交通便利,水域面积广阔,地势平坦,物产丰富,拥有良好的发展基础。在建设过程中,由水库、堤防等组成的防洪工程体系已经初步形成。本文通过调查鄱阳湖生态经济区的防洪形势,对生态经济区建设的防洪保障体系进行分析研究。

lvds_rx: in std_logic; --LVDS接收线

信号处理模块是飞控计算机硬件及全时序综合测试装置工作的核心模块,主要由DSP、FPGA、RS-422协议接口、ARINC429协议接口、LVDS协议接口等组成,完成各种信息的传输和处理[4]。信号处理模块组成框图如图5所示。

L422_rx: in std_logic; --422接收线

data_fpga2429 : inout std_logic_vector(15 downto 0); --429数据总线

bus_429_dir: out std_logic;

在教学内容不能大而全的基础上,选择合适的教学内容就变得格外重要。传统在进行教学内容的甄别上,有一定的专业标准进行参考,大学生创新培养教育目前没有经验可以依循,更多是源于教学团队和教学的自行判断。而大数据的数据驱动方案能够找出与大学生创新能力相关度比较高的课程,从而确定最合适的教学内容。魏梓轩(2017)所在的课题组发现选修创业课程并在毕业后从事创业活动的16名同学中,12名同学选修了“个人理财”课程。为验证相关性,课题组在教学内容中加大了理财相关知识,跟踪发现创业意向大幅提高。在这里,教学内容的优化直接来源于大数据的相关性分析。

bus_429_state: out std_logic;

);

近年来,随着慕课、edex等在线教育平台的出现和普及,在学生和在线平台的交互过程中产生了大量的学习数据,教育大数据的概念随之兴起。在此基础上产生了教育数据挖掘和学习分析两种相互关联又互有不同的研究领域[1]。

end test_device;

DSP主要完成与FPGA的数据通信,根据控制信号和时序要求控制数据的收发,实现对整个系统的控制。本文使用TI公司的Code Composer Studio 6.0作为DSP开发工具,采用C语言完成代码编写[9]

clk:in std_logic;

遵循软件系统的设计思想,设计目标、任务要求和对象特点,设计方法采用以下所述的技术途径和措施实现。

1)模块化

将系统划分为众多子模块的集成,各子模块把数学模型、仿真运算、I/O管理,响应封装在自身内部。

2)结构化

采用层次结构化设计,分为管理层、基础层和功能层,每个层次由若干子模块构成,并支撑下一层次。基础层提供通用的数学库、数据库、工具库和算法库,文件 I/O。功能层由各个计算模块构成,由相应的数据结构和消息回调函数支持,完成数学模型的计算。结构化层次关系参见图8。

  

图8 结构化层次关系图

4 测试结果分析

完成系统的软硬件设计后,为检验所设计装置的功能,对LVDS、ARINC429以及RS-422三种数据链路的信号分别进行测量,实际波形如图9所示。

综上所述,对缺氧缺血性脑病新生儿给予选择性头部亚低温治疗安全性高、疗效显著,能够对患儿神经、运动功能起到改善作用,不良反应少,可广泛应用于临床。

  

图9 LVDS、ARINC429与RS-422波形图

测量结果表明,实际数据波形符合信号传输协议的要求,运行稳定可靠,硬件链路通讯正常,满足提出的功能需求。

由于LVDS、ARINC429及RS-422数据链路实现了对传感器、执行机构、安保机构、数据链等飞行器组件的模拟,从而构建出整体测试环境,故在常温条件下采用本装置对十台飞控计算机进行了全时序测试。

为进一步验证本装置全时序测试功能,将十台飞控计算机分别置于振动条件、高温条件及低温条件下,采用本装置对其进行多次测试。

测试结果表明该装置在功能、性能、实时性等方面满足测试要求,各信号接口数据传输准确无误,验证了本文设计方案的有效性,并在实际科研生产中取得了较好的应用效果。

鲁迅《嵇康集考》云:“《录书解题》称‘《嵇中散集》’者,陈氏书久佚,清人从《永乐大典》辑出,因用后来所称之名,原书盖不如此”,可见陈录原载并非“嵇中散集”,而可能还是“嵇康集”。

5 结束语

本文设计了基于DSP和FPGA的飞控计算机硬件及全时序综合测试装置,充分利用了DSP的高处理速度、外设资源、可扩展的片外存储空间以及FPGA高速灵活的硬件配置结构[10],软件设计采用模块化的编程思想,系统的实时性满足飞控计算机的测试要求,而且可靠性强,通用性好,价格便宜,方便携带,适用于各种飞控计算机信号的处理和检测,实现了出厂前飞控计算机硬件与全时序综合测试,并且本论文提出的方法可以应用于各类飞控计算机的测试。

参考文献

[1]黄永飞,彭欣洁. 导弹飞控系统测试技术[J].弹箭与制导学报,2009,29(1):65-67.

[2]祝艳苏. 导弹测试设备通用调试与验证平台研制[D]. 哈尔滨:哈尔滨工业大学,2015.

[3]刘鉴莹. 导弹飞控组件综合性能测试系统的设计与实现[J].弹箭与制导学报,2007,27(5):68-70.

[4]闫 捷,徐晓苏,李 瑶,等.基于DSP与FPGA的嵌入式组合导航计算机系统设计[J].测控技术,2013, 32(12):61-64.

[5]TEXAS INSTRUMENTS.TMS320F28335 Digital Signal Controllers (DSCs) Data Manual[Z].2010.

[6]何航峰. 以DSP为核心的弹载计算机系统的设计[D]. 南京:南京航空航天大学,2013.

[7]高 敏,任海龙,杨 芳,等. 基于DSP+FPGA的弹载计算机设计[J].计算机测量与控制,2014,22(12):3995-3997.

[8]姜雪松,吴钰淳,王 鹰. VHDL设计实例与仿真(4版)[M].北京:机械工业出版社,2007.

[9]符 晓,朱洪顺. TMS320F2833xDSP应用开发与实践(1版)[M].北京: 北京航空航天大学出版社,2013.

[10]聂宜云,孟凡军,颜肖平. 基于DSP+FPGA架构的嵌入式运动控制平台设计[J]. 测控技术,2015,34(5):69-71.

 
王乔,王民钢,陆建中
《计算机测量与控制》2018年第05期文献

服务严谨可靠 7×14小时在线支持 支持宝特邀商家 不满意退款

本站非杂志社官网,上千家国家级期刊、省级期刊、北大核心、南大核心、专业的职称论文发表网站。
职称论文发表、杂志论文发表、期刊征稿、期刊投稿,论文发表指导正规机构。是您首选最可靠,最快速的期刊论文发表网站。
免责声明:本网站部分资源、信息来源于网络,完全免费共享,仅供学习和研究使用,版权和著作权归原作者所有
如有不愿意被转载的情况,请通知我们删除已转载的信息 粤ICP备2023046998号